Skip Navigation Linksلیست مقالات ترجمه شده / مقالات ترجمه شده مهندسی كامپيوتر /

عنوان ترجمه شده مقاله: یک مکانیسم کنترل دستیابی رادیویی کارآ برای معماری برای معماری های شبکه روی تراشه ی وایرلس

در این مقاله، یک RACM جدید را ارائه می دهیم، که این امکان را فراهم می آورد که RACMها را هم از نظر کارآیی و هم از نظر انرژی بهبود داد
 Abstract

Modern systems-on-chip (SoCs) today contain hundreds of cores, and this number is predicted to reach the thousands by the year 2020. As the number of communicating elements increases, there is a need for an efficient, scalable and reliable communication infrastructure. As technology geometries shrink to the deep submicron regime, however, the communication delay and power consumption of global interconnections become the major bottleneck. The network-on-chip (NoC) design paradigm, based on a modular packet-switched mechanism, can address many of the on-chip communication issues, such as the performance limitations of long interconnects and integration of large number of cores on a chip. Recently, new communication technologies based on the NoC concept have emerged with the aim of improving the scalability limitations of conventional NoC-based architectures. Among them, wireless NoCs (WiNoCs) use the radio medium for reducing the performance and energy penalties of long-range and multi-hop communications. As the radio medium can be accessed by a single transmitter at a time, a radio access control mechanism (RACM) is needed. In this paper, we present a novel RACM, which allows one to improve both the performance and energy figures of the WiNoC. Experiments, carried out on both synthetic and real traffic scenarios, have shown the effectiveness of the proposed RACM. On average, a 30% reduction in communication delay and a 25% energy savings have been observed when the proposed RACM is applied to a known WiNoC architecture

چکیده

سیستم­ های روی تراشه­ ی (SoCs) مدرن امروزه دارای صدها هسته هستند، و پیش ­بینی می ­شود که این تعداد در سال 2020 به هزاران خواهد رسید. از آنجا که تعداد المان­ های ارتباطی رو به افزایش است، نیاز به یک زیرساخت ارتباطی مطمئن و پایا است. به این دلیل اینکه هندسه ­ی تکنولوژی به مقیاس زیرمیکرون رسیده است؛ تاخیر و مصرف توان اتصالات آن­ ها به یک گلوگاه بزرگ تبدیل شده است. مدل طراحی شبکه روی تراشه (NoC)، بر مبنای یک مکانیسم تبادل بسته­ ی ماژولار، می­ تواند بسیاری از مشکلات ارتباط روی تراشه، از قبیل محدودیت ­های کارآیی اتصالات طویل و تجمیع تعداد زیادی هسته ­ها روی یک تراشه، را حل می ­کند. اخیرا، تکنولوژی ­های ارتباطی جدید بر مبنای مفهوم NoC با هدف بهبود محدودیت ­های مقیاس ­پذیری معماری­ های مبتنی بر NoC بی ­سیم به وجود آمده ­اند. در میان آن ­ها،NoC های بی­ سیم (WiNoCs) از رسانه­ ی رادیویی جهت کاهش مشکلات کارآیی و انرژی ارتباطات چند گامه و بلند مدت استفاده می ­کنند. از آنجا که در هر زمان با یک ترانسمیتر می ­توان به یک رسانه­ ی رادیویی دستیابی نمود، به یک مکانیسم کنترل دستیابی رادیویی (RACM) نیاز است. در این مقاله، یک RACM جدید را ارائه می ­دهیم، که این امکان را فراهم می ­آورد که RACMها را هم از نظر کارآیی و هم از نظر انرژی بهبود داد. آزمایش­ ها هم روی سناریوهای واقعی ترافیک و هم روی سناریوهای ساختگی ساخته شده­ اند و کارآیی RACM پیشنهادی را نشان می­ دهند. به طور میانگین، با بکارگیری RACM پیشنهادی به یک معماری WiNoC شناخته شده، یک کاهش 30 درصدی در تاخیر ارتباطات و 25% ذخیره ی انرژی مشاهده شد.

1-مقدمه

جهت غلبه بر تعداد روزافزون هسته هایی که در یک سیستم چندپردازنده ­ای توسعه داده می ­شوند، سیستم­ روی تراشه­ (MPSoC) با سیستم ارتباطی روی تراشه نقشی اساسی ایفا می­ کند. امروزه، مدل طراحی شبکه روی تراشه (NoC) به عنوان قابل قبول­ ترین زیرساخت ارتباطی با دغدغه­ های کارآیی، انرژی و قابل ­اطمینان بودن بسیاری از معماری ­های سیستم چندهسته­ ای درنظر گرفته شده است. یک NoC از چند هسته­ی IP و چند سوئیچ که آن­­ها (هسته­ ها) را با کانال ارتباطی به هم متصل می ­کند تشکیل شده است...


موسسه ترجمه البرز اقدام به ترجمه مقاله " مهندسی فناوری اطلاعات " با موضوع " یک مکانیسم کنترل دستیابی رادیویی کارآ برای معماری برای معماری های شبکه روی تراشه ی وایرلس " نموده است که شما کاربر عزیز می توانید پس از دانلود رایگان مقاله انگلیسی و مطالعه ترجمه چکیده و بخشی از مقدمه مقاله، ترجمه کامل مقاله را خریداری نمایید.
عنوان ترجمه فارسی
یک مکانیسم کنترل دستیابی رادیویی کارآ برای معماری برای معماری های شبکه روی تراشه ی وایرلس
نویسنده/ناشر/نام مجله :
Journal of Low Power Electronics and Applications
سال انتشار
2015
کد محصول
1008017
تعداد صفحات انگليسی
19
تعداد صفحات فارسی
19
قیمت بر حسب ریال
1,083,500
نوع فایل های ضمیمه
Pdf+Word
حجم فایل
1 مگا بایت
تصویر پیش فرض


این مقاله ترجمه شده را با دوستان خود به اشتراک بگذارید
سایر مقالات ترجمه شده مهندسی فناوری اطلاعات , مهندسی كامپيوتر را مشاهده کنید.
کاربر عزیز، بلافاصله پس از خرید مقاله ترجمه شده مقاله ترجمه شده و با یک کلیک می توانید مقاله ترجمه شده خود را دانلود نمایید. مقاله ترجمه شده خوداقدام نمایید.
جهت خرید لینک دانلود ترجمه فارسی کلیک کنید
جستجوی پیشرفته مقالات ترجمه شده
برای کسب اطلاعات بیشتر، راهنمای فرایند خرید و دانلود محتوا را ببینید
هزینه این مقاله ترجمه شده 1083500 ریال بوده که در مقایسه با هزینه ترجمه مجدد آن بسیار ناچیز است.
اگر امکان دانلود از لینک دانلود مستقیم به هر دلیل برای شما میسر نبود، کد دانلودی که از طریق ایمیل و پیامک برای شما ارسال می شود را در کادر زیر وارد نمایید


این مقاله ترجمه شده مهندسی فناوری اطلاعات در زمینه کلمات کلیدی زیر است:





network-on-chip
on-chip wireless communication
access control mechanism
performance evaluation

تاریخ انتشار در سایت: 2016-05-25
جستجوی پیشرفته مقالات ترجمه شده
نظرتان در مورد این مقاله ترجمه شده چیست؟

ثبت سفارش جدید